CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计算器 vhdl

搜索资源列表

  1. 7-timer

    0下载:
  2. 本代码是实现计算器的功能,用的是VHDL语言编写,全部实现过程都在这里面。-This code is to achieve the functions of the calculator, using the VHDL language, to achieve full process on the inside
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:847475
    • 提供者:张天健
  1. xuliejiancejisuanqikongzhiqi

    0下载:
  2. VHDL序列检测器,计算器,控制器编码以及实现方法。-VHDL sequential detector, calculator, controller and its implementation method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:51496
    • 提供者:景生
  1. calculator

    0下载:
  2. 基于vhdl设计的具有加减乘除以及取余数等等运算功能的计算器,可通过键盘扫描实现输入。-Vhdl-based design has addition, subtraction, and take the remainder and so on arithmetic function calculator, can be achieved through keyboard input scanning.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:143937
    • 提供者:张圆
  1. calculate

    0下载:
  2. 基于VHDL,通过拨码开关实现数字输入,通过6位数码管实现输出。实现计算器的简单加、减、乘、除的基本功能-Based on VHDL, by DIP switch digital inputs, 6 digital control to achieve through output. Achieve a simple calculator to add, subtract, multiply, in addition to the basic functions
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:271357
    • 提供者:高莹
  1. entity-fp-is

    0下载:
  2. 简易计算器4*4矩阵键盘输入,多个数值vhdl代码-Simple calculator 4* 4 matrix keyboard input, multiple values vhdl codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:12409
    • 提供者:刘飞
  1. AnJian_1602

    0下载:
  2. 计算器设计。采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。-Calculator design. Using a field programmable logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13138994
    • 提供者:陈勒
« 1 2 3»
搜珍网 www.dssz.com